电压电流双环控制原理
新人必看的双环电流型PWM控制器原理简析
回答于2016-11-07
PWM控制器对于很多工程师来说,都是在电子电路系统设计过程中不可缺少的重要配件,其中,双环电流型PWM控制器在开关电源以及LED电源设计领域的应用更是非常广泛。本文将会就这一双环电流型PWM控制器的工作原理和运行特点进行简析,希望能够对新人工程师的日常工作提供一定帮助。 双环电流型PWM控制器工作原理 所谓的双环电流型PWM控制器,其实也是PWM控制器的一种,但这种类型的脉宽调制控制器是在普通电压反馈PWM控制环内部增加了一个电流反馈的控制环节,因此这一元件除了包含电压型PWM控制器的功能外,还能够检测开关电流或电感电流,实现电压电流的双环控制。一个基础的双环电流型PWM控制器电路原理图如下图图1所示。
图1 双环电流型PWM控制器原理图 从图1所提供的双环电流型PWM控制器原理图中可以明显看出,这一电流型控制器有两个控制闭合环路:一个是输出电压反馈误差放大器A,用于与基准电压比较后产生误差电压。另一个是变压器初级(电感)中电流在Rs上产生的电压与误差电压进行比较,产生调制脉冲的脉宽,使得误差信号对峰值电感电流起着实际控制作用。 结合图1所给出的双环电流型控制器的原理图,我们可以将这一PWM控制器的工作过程总结为:假设输入电压下降,整流后的直流电压下降,经电感延迟使输出电压下降,经误差放大器延迟,Vea上升,占空比变化,从而维持输出电压不变。在电流环中电感的峰值电流也随输入电压下降,电感电流的斜率diPdt下降,导致斜坡电压推迟到达Vea,使PWM占空比加大,起到调整输出电压的作用。由于这一电流型控制器在运行时能够同时对电压和电流控制作用,所以控制效果较好在实际中得到广泛应用。 双环电流型PWM控制器的特点 在实际的工作应用中,双环电流型PWM控制器的特点主要有以下几个方面。首先,由于输入电压Vi的变化能够立即反映为电感电流的变化,不经过误差放大器就能在比较器中改变输出脉冲宽度(电流控制环),因而使用这一控制器设计的系统其电压调整率非常好,可达到0.01%PV,能够与线性移压器相比。同时,双环控制系统内在的快速响应和高稳定性,反馈回路的增益较高,不会造成稳定性与增益的矛盾,使输出电压有很高的精度。 双环电流型PWM控制器还有一个很明显的优点,那就是由于其Rs上感应出峰值电感电流,只要Rs上电平达到1V,PWM控制器就能够立即关闭,形成逐个脉冲限流电路,使得在任何输入电压和负载瞬态变化时,功率开关管的峰值电流被控制在一定范围内,在过载和短路时对主开关管起到有效保护。 在应用过程中,这一双环电流型的PWM控制器还有一个显著特点,那就是被应用在电路系统中时能够极大地改善负载调整率。其误差放大器用于控制,由于负载变化造成的输出电压变化,使得当负载减小时电压升高的幅度大大减小,明显改善了负载调整率。因为电流型控制器的系统内环是一个良好的受控电流放大器,所以把电流取样信号转变成的电压信号和一个公共电压误差放大器的输出信号相比较,就可以实现并联均流,因而系统并联较易实现。
阅读原文
用BUCK拓扑电路,就能实现,我不能插入图片,我给你说一下就明白了。
一个MOSFET,一个二极管,一个电感和一个电容,BUCK电路本身就是一个降压电路。电容并联到输出端,电感串联到电路中,他们都是储能元件,然后,芯片PWM信号控制MOSFET管的开断,
不过此电路有些许问题,就是在光耦输出信号电平翻转瞬间,Q1、Q3会处在导通状态,导致大电流流经这两个三极管,不损坏管子也令其功耗加大
此电路的作用是对输入的PWM信号进行功率放大,光耦可使输入信号与输出信号进行电源隔离,也就不必电源共地连接。
软开关可分为零电流开关(ZCS)、零电压开关(ZVS)和零电压零电流开关(ZV-ZCS)等三种开关形式,又有软开通和软关断两种。普通PWM变换器以改变驱动信号的脉冲宽度来调节输出电压,且在功率开关管开关期间存在很大损耗,因此,这种硬开关电源的尖峰干扰大,可靠性差,效率低。而移相控制全桥软开关电源则是通过改变两臂对角线上下管驱动电压移相角的大小来调节输出电压,这种方式是让超前臂管栅压领先于滞后臂管栅压一个相位,并在IC控制端对同一桥臂的两个反相驱动电压设置不同的死区时间,同时巧妙地利用变压器漏感和功率管的结电容和寄生电容来完成谐振过程以实现零电压开通,从而错开了功率器件电流与电压同时处于较高值的硬开关状态,并有效克服了感性关断电压尖峰和容性开通时管温过高的缺点,减少了开关损耗与干扰。
这种软开关电路的特点如下:
(1)移相全桥软开关电路可以降低开关损耗,提高电路效率。
(2)由于降低了开通过的du/dt,消除了寄生振荡,从而降低了电源输出的纹波,有利于噪声滤波电路的简化。
(3)当负载较小时,由于谐振能量不足而不能实现零电压开关,因此效率将明显下降。
(4)该软开关电路存在占空比丢失现象,重载时更加严重,为了能达到所要求的最大输出功率,则必须适当降低变化,而这将导致初级电流的增加并加重开关器件的负担。
(5)由于谐振电感与输出整流二极管结电容形成振荡,因此,整流二极管需要承受较高的峰值电压。
2 工作原理
移相全桥零电压PWM软开关的实际电路如图1所示。它由4只开关功率管S1、S2、S3、S4(MOSFET或IGBT)、4只反向并接的高速开关二极管D1、D2、D3、D4以及4只并联电容C1、C2、C3、C4(包括开关功率管输出结电容和外接吸收电容)组成,与硬开关PWM电路相比该电路仅多了一个代表变压器的漏感与独立电感之和的谐振电感Lr。零电压开关的实质,就是在利用谐振过程中对并联电容的充放电来让某一桥臂电压UA或UB快速升到电源电压或者降到零值,从而使同一桥臂即将开通的并接二极管导通,并把该管的端电压箝在0,为ZVS创造条件。电路中的4个开关功率管的开关控制波形如图2所示。
该波形在一个周期内被按时域分成了8个区间,每个区间代表电路工作的一个过程。除死区时间外,电路中总有两个开关同时导通共有四种组态:S1和S4、S1和S3、S2和S3、S2和S4,周而复始。由图2可知,当S1和S4、S2和S3组合时,即T0-T1、T4-T5时间段为工作电路输出功率状态,而在S1和S3、S2和S4组合时,即T2-T3、T6-T7时间段为电路续流状态T3-T4、T7-T8时间段内为从续流状态向输出功率转换的谐振过程T1-T2、T5-T4时间段内为从输出功率状态向续流状态转换的谐振过程,后四个区间称为死区,谐振过程都发生在死区里,死区时间由控制器来设置。
下面具体分析各个区间的工作原理。
2.1 输出功率状态1(T0-T1)
假如初始状态为T0-T1区间,那么,此刻的功率开关管S1、S4都处于导通状态,A、B两点间的电压为U,初级电流从初始Ip点线性上升,变压器次级感应的电压将使DR2导通,DR1截止,输出电流经DR2流向输出电感,并在电容储能后给负载提供电流,到达T1时刻时,输出功率状态1过程结束。
2.2 超前臂谐振过程1(T1-T2)
当T1时刻到来时,开关管S4由导通变为截止,存储在电感的能量对C4进行充电,同时C3放电以使B点的电压渐渐升高,当C4的电压充到U时,D3导通,开关功率S3的源漏电压为0,从而为开关功率管S3零电压的开通准备了条件。因为次级输出电感参与谐振,等效电感为k2L,所以电感储能充足,很容易使B点达以U值,故超前臂容易实现零电压开通。
在这一过程中参与谐振的电容量为C3和C4的并联,电感量为Lr与次级感应的串联电感量。即:
C=C3+C4,L=Lr+k2L
超前臂谐振过程的微分方程如下:
LC(d2Uc/dt2)+Uc=kU0
其中初始状态的Uc(0)=U,iLr(0)=I0/k。
2.3 续流状态1(T2-T3)
由于开关功率管S1、S3都导通,此时A点与B点的电位皆为U,变压器初始处于短路状态而不输出功率。从T2时刻起,输出电感L两段端的电压极性变反,输出电感由储能状态变为放能状态,负载由输出电感和输出电容提供电流,相应的变压器的初级电流仍按原方向流动,进入续流状态后,电流略有下降。变压器初始电流通过开关功率管和二极管使开关功率管的损耗得以减小。
2.4 滞后臂谐振过程1(T3-T4)
当T3时刻到来时,开关管S1由导通变为截止,储能电感对C1开始充电,同时,电容C2开始放电使A点的电压逐渐下降,直到C2的电压为0使D2导通。从而为开关功率管S2的零电压导通准备了条件。在这一过程中,参与谐振的电容量为C1和C2的并联,电感仅为Lr,即C=C1+C2,L=Lr
滞后臂谐振过程的微分方程为:
LC(d2Uc/dt2)+Uc=0
其中初始状态时的Uc(0)=0,iLr(0)=I0/k。
在这一过程中,由于只有Lr参与谐振,而谐振开始时如果Lr的电流Ilr较小,Lr储能不够,那么电容C的谐振电压Uc的峰值就有可能达不到U,这样二极管将不能导通,其对应的开关就不能实现零电压开通。为了使电容的谐振电压峰值能够达到U,电感的储能必须足够高,因此在谐振开始时,电感Lr的电流Ilr必须满足:
1/2(Li2Lr)=1/2(CU2)
这一等式就是设计谐振电感Lr的依据。
2.5 输出功率状态2(T4-T5)
此过程时,开关功率管S2、S3导通,变压器初始电流从B流向A,AB两点电压为-U,变压器次级感应电压使DR1处于导通状态,并通过DR1为输出电感、电容储能。
2.6 超前臂谐振状态2(T5-T6)
此过程中,开关功率管S3由导通变为截止,电容C3开始充电,电容C4开始放电,B点电压逐渐下降到0,为开关功率管S4的零电压开通准备条件。
2.7 续流状态2(T6-T7)
此时,A、B两端电压为0,初级电流按原方向流动,电流强度逐渐减小,变压器次级的DR2仍处于导通状态,以维持电感给负载所提供的电流。
2.8 滞后臂谐过程2(T7-T8)
在T7时刻,开关功率管S2从导通变为截止,电容C2开始充电,而电容C1开始放电使A点的电压逐渐上升到U,从而二极管D1导通,为开关功率管S1的零电压开通准备了条件。至此,一个周期结束。
3 电路分析
3.1 两个谐振过程的比较
在输出功率状态向续流状态转换的谐振过程中,由于其电感大(L=Lr+k2L),储能多,因此负载电流在很小时便可以使电容电压谐振到零,因此,相位超前的两个桥臂开关S3、S4很容易实现零电压开通。
而在续流状态向输出功率状态转换的谐振过程中,其电感较小,只有Lr参与谐振。所以储能小,负载电流零达到一定值才可以使电容电压谐振到U,因此,相位滞后的两个桥臂S1、S2不太容易实现零电压开通。
为了使后者容易实现零电压开通,在设计开关功率管控制信号时,应使滞后臂的死区时间大于超前臂的死区时间,并使C1、C2的值小于C3、C4.
3.2 占空比丢失现象
移相全桥零电压PWM软开关电路有一个特殊现象就是占空比的丢失。它总是发生在续流状态向输出功率状态转换结束时。在T4时刻,开关功率管S2刚开通,谐振电感Lr的电流刚刚衰减到零或尚未衰减到零,变压器初级处于续流状态,其两端的电压为零,谐振电感Lr承受的电压为U,其电流反向逐渐增大,只有当其电流增大到I0/k时,变压器才退出续流状态,两端的电压才升到U,电感Lr中的电流才不再增大。这样,从S2开通到变压器退出续流状态,变压器并不输出电压,这一段时间即为丢失的占空比,其占空比为:
ΔD=2LrI0/(kUT)
从式中可以看出,谐振电感Lr越大,负载电流I0越大,占空比丢失也越严重。占空比丢失现象将直接导致开关功率管的损耗增大,故必须采取措施加以克服,目前通常采用减小变比来实现。
3.3 能量转换
该移相全桥零电压PWM软开关电路在主变压器(原边)初级串联附加了谐振电感,从而促进了电路中滞后臂实现ZVS。因同一桥臂的两只并联电容在开关转换时的充放电能量将达到Wc=1/2(CU2),即一充一放的电容储能变化达CU2,这么大的电场能量需用电感中的磁能来转换。为了顺利完成并联电容的充放电,使并接二极管导通箝位。电路中设计了足够大的电感来帮助电容器中电荷实现转变,电路中的Lr、L的作用就在于此。
1 PWM控制电路基本原理 为了实现直流伺服系统的H型单极模式同频PWM可逆控制,一般需要产生四路驱动信号来实现电机的正反转切换控制。当PWM控制电路工作时,其中H桥一侧的两路驱动信号的占空比相同但相位相反,同时随控制信号改变并具有互锁功能;而另一侧上臂为低电平,下臂为高电平。另外,为防止桥路同侧对管的导通,还应当配有延时电路。设计的整体模块见图1所示。其中,d[7:0]矢量用于为微机提供调节占空比的控制信号,cs为微机提供控制电机正反转的控制信号,clk为本地晶振频率,qout[3:0]矢量为四路信号输出。其内部原理图如图2所示。该设计可得到脉冲周期固定(用软件设置分频器I9可改变PWM开关频率,但一旦设置完毕,则其脉冲周期将固定)、占空比决定于控制信号、分辨力为1/256的PWM信号。I8模块为脉宽锁存器,可实现对来自微机的控制信号d[7:0]的锁存,d[7:0]的向量值用于决定PWM信号的占空比。clk本地晶振在经I9分频模块分频后可为PWM控制电路中I12计数器模块和I11延时模块提供内部时钟。I12计数器在每个脉冲的上升沿到来时加1,当计数器的数值为00H或由0FFH溢出时,它将跳到00H时,cao输出高电平至I7触发器模块的置位端,I7模块输出一直保持高电平。当I8锁存器的值与I12计数器中的计数值相同时,信号将通过I13比较器模块比较并输出高电平至I7模块的复位端,以使I7模块输出低电平。当计数器再次溢出时,又重复上述过程。I7为RS触发器,经过它可得到两路相位相反的脉宽调制波,并可实现互锁。I11为延时模块,可防止桥路同侧对管的导通,I10模块为脉冲分配电路,用于输出四路满足设计要求的信号。CS为I10模块的控制信号,用于控制电机的正反转。
2 电路设计 本设计采用的是Lattice半导体公司推出的is-plever开发平台,该开发平台定位于复杂设计的简单工具。它采用简明的设计流程并完整地集成了Leonardo Spectrum的VHDL综合工具和ispVMTM系统,因此,无须第三方设计工具便可完成整个设计流程。在原理设计方面,本设计采用自顶向下、层次化、模块化的设计思想,这种设计思想的优点是符合人们先抽象后具体,先整体后局部的思维习惯。其设计出的模块修改方便,不影响其它模块,且可重复使用,利用率高。本文仅就原理图中的I12计数器模块和I11延迟模块进行讨论。计数器模块的VHDL程序设计如下:entity counter isport(clk: in std logicQ : out std logic vector(7 downto 0)cao: out std_logic)end counterarchitecture a_counter of counter issignal Qs: std_logic_vector(7 downto 0)signal reset: std_logicsignal caolock: std_logicbeginprocess(clk,reset) beginif(reset=‘1’)thenQs<=“00000000”elsif clk’event and clk=‘1’ thenQs<=Qs+‘1’end ifend processreset<=‘1’ when Qs=255 else ‘0’caolock<=‘1’ when Qs=0 else ‘0’Q<=Qscao<=reset or caolockend a_counter
图2 PWM可逆控制电路原理图
在原理图中,延迟模块必不可少,其功能是对PWM波形的上升沿进行延时,而不影响下降沿,从而确保桥路同侧不会发生短路。其模块的VHDL程序如下:entity delay isport(clk: in std_logicinput: in std_logic_vector(1 downto 0)output:out std_logic_vector(1 downto 0) end delayarchitecture a_delay of delay issignal Q1,Q2,Q3,Q4: std_logicbeginprocess(clk) beginif clk’event and clk=‘1’ thenQ3<=Q2Q2<=Q1Q1<=input(1)end ifend processQ4<=not Q3output(1)<=input(1)and Q3output(0)<=input(0)and Q4end a_delay
一、路灯控制系统工作原理:白天光伏电池向蓄电池充电,晚上蓄电池提供电力供路灯照明。所以蓄电池将构成一个充放电循环。太阳能路灯照明控制电路包括光伏电池、蓄电池、路灯和控制器四部分。
1、设计中采用AT89S52单片机,并将其作为智能核心模块。外围电路主要包括太阳能电池电压采样模块、蓄电池电压采样模块、键盘电路模块、LED显示模块、充放电控制模块等。
2、图1是太阳能路灯控制器结构设计图。
3、太阳能路灯控制器选择ATMEL公司的8位单片机AT89S52为核心的智能控制模块,在整体上具有低功耗、性能高的特点。
二、单片机振荡电路
1、单片机振荡电路如图2所示。
2、太阳能路灯控制电路设计方案汇总(两款太阳能路灯控制电路原理图详解)
三、复位电路
1、复位电路如图3所示,电路结构简单,稳定可靠。
2、系统正常工作电压为5V,系统采用12V/24V的铅酸蓄电池供电,蓄电池电压不稳定,所以需要对电源进行稳压。本系统采用LM7805三端稳压器,其输入电压在5~24V时均可以保证输出为稳定的+5V。LM7805组成稳压电源只需要很少的外围元件,使用起来非常方便,工作稳定可靠J。系统电源电路如图4所示。
3、太阳能电池采样和蓄电池采样对于系统正常运行起着非常重要的作用。
3.1、太阳能路灯控制器要对蓄电池充放电进行合理控制,即需对蓄电池、太阳能电池板电压进行采样。为此,AT89S52单片机就要外接A/D转换模块,把电压转换为数字信号,系统选用v/F转换芯片LM331组成数模转换电路J。
3.2、在系统采样设计中,为了防止因为外部因素导致AT89S52程序跑飞或死机,提高系统稳定性,在LM331与单片机之间还需增加单通道的高速光电隔离器6n137J。图5为太阳能电池板采样电路图。系统蓄电池采样和太阳能电池板采样电路相同。
4、照明系统框图如图l所示。
5、图1 LED太阳能节能灯照明系统框图
5.1、单片机经由检测电路检测太阳能发电板所发出来的电压,并由1组A/DCl的转换值来判断是否已天黑。
5.2、当光线充足时,将太阳能发电板所发出的电送至定电压电路,此时,单片机也会由其A/DC1转换值来监控充电电池的电量,并以绿色、黄色与红色的LED来表示充电电池的电量。单片机以定电压的方式来对充电电池充电,只要定电压电路的最大输出电压值依充电电池的规格来设定,就不会发生电池过充而损坏的情形。
5.3、当光线不足(天黑)时,单片机经由A/DC1的转换值检测到太阳能发电板发出的电压已接近于零,此时,单片机会依此A/DC1转换后数值来判断是否点亮LED灯,当此A/DC1转换后的值低于某一临界值时,该值越小,则单片机会输出一脉宽越宽的PWM信号,使LED灯的亮度越亮。
5.4、如果仅靠太阳能电池来对充电电池充电,其充电量可能不足以提供LED灯点亮一整晚。所以我们预计入夜后,此太阳能灯约只点亮6h,此时大约已过深夜12点。
5.5、另外,我们再加入光敏电阻与人体红外线检测器,当太阳能灯点亮6h而熄灭后,如果光敏电阻检测到有车辆驶近,或者人体红外线检测器侦测到有人靠近时,则LED灯会再点亮数分钟,以作照明之用。如此,仅靠太阳能电池的充电量应足以供此LED灯使用。
6、定压、稳压电路
定压、稳压电路如图2所示
7、设计中,HT7544是1只4.4V的稳压块,把HT7544的GND脚接地,其输入脚(in)输入的电压大于4.4V,其输出脚(out)会固定输出4.4V的电压。因为HT7544的输出脚(out)电压~LGND大于4-4V,所以流过电阻Rl的电流为
8、在本设计中,单片机HT46R23需要的5v稳压电源通过集成稳压块HT7551来供给。HT7551的GND脚接地,其输人脚(in)输入大于5V的电压时,输出脚(out)会固定输出5V的电压。两只10k1)的电阻R3与R4作分压电路,其分压后之电压流人单片机HT46R23的A/DC2转换接脚(PB2),以供单片机检测充电电池的电压。
9、LED驱动电路
LED的驱动电路如图3所示
10、驱动电路中,PWM信号由单片机HT46R23的PWMO端输出。
10.1、由图3可知,太阳能发电板所发出来的电压通过电阻R5与R6的分压电路取出。因为,使用的太阳能发电板的工作电压为7.5v,而单片机A/DCl转换的类比输入电压最大为5v,使用两只10kQ的电阻R5与R6来作分压电路,使流入单片机A/DC1转换(PB1)的电压为太阳能发电板所输出电压的一半。
10.2、当A/DC1转换后的数字值小于某1个临界值时,单片机会输出一数字信号c,该信号打开电源控制电路,使电池的电能流人驱动电路中。同时,输出PWM的信号以点亮LED灯。A/Dc1转换后的数字值越小,单片机输出PWM的脉波宽度越宽。
11、检测电路
检测电路如图4所示。光敏电阻(Cds)与人体红外线传感器(GDS),分别检测车辆灯光与人体的红外线。
12、定压、稳压电路
12.1、图4的最左边是光敏电阻,为检测车灯的电路。光敏电阻受光越强,其电阻值越小。在夜晚时,光敏电阻的电阻值变大,单片机HT46R23的PB0所检测到的电压值较小;当车灯照射到光敏电阻时,光敏电阻的电阻值就会变小,单片机之PB0检测到的电压值就会比较大。
12.2、因此在夜晚,当单片机的PB0所检测到的电压值大于某临界值时,即表示有车辆接近,则单片机将点亮LED灯。
12.3、图中的人体红外线传感器的检测电路是当有人进入检测范围时,人体红外线传感器会发出1个小脉波,因为此小脉波的功率很小,需要经过几次放大器(LM324)的放大,其信号才能有效地被单片机接收,所以平时无人进人人体红外线检测器的检测范围时,此电路的输出为低电位;当单片机的PC0收到高电位时,表示有人进人人体红外线传感器的检测范围,单片机将点亮LED照明灯。
(1)在成品上方的太阳能发电板有受光的情形下,其输出是否有7.5V以上的太阳能发电板之工作电压。
(2)如果上述测试正常的话,在未接充电电池的情形下,定电压电路.HT7544的输出端应该会有约6V的电压输出。流经1个整流二极管后,约为5.4v的电压,以供充电电池充电之用。
(3)将充电电池接至电路中稳压电路,HT7551会输出5V的电压给单片机使用。
(4)以不透光物质遮蔽太阳能发电板,以模拟人夜的情形。当单片机的PB1所检测到的太阳能发电板的输出电压值小于某一临界值时,表示天色已暗。此时,单片机会输出一高电位给控制信号c,以打开电源控制电路,使电池的电能流人LED驱动电路中。同时,单片机会输出FWM信号以点亮LED灯。6h的时间较长,此时让LED灯持续点亮1min,以模拟点亮6h,6h后应已过深夜,人车已少,所以熄灭LED灯。
(5)当已过6h而LED灯熄灭后,如果有人车接近,则装在PB0的光敏电阻或装在PCO的人体红外线检测器应会感应到车灯或人体所发出来的红外线。此时,单片机会再点亮LED灯约30S,以作警示或照明之用。此情形直到单片机的PB1所检测到的太阳能发电板所输出的电压值大于某1个临界值时,表示天色已亮,程式再回到开始的状态。
四、接线说明:
1、 先接蓄电池的连接线
2、 再接蓄电池到控制器的线
3、 再接太阳能板到控制器的线
4、 最后接负载到控制器的线
5、 负载为低压钠灯时,在做灯具的时候应该先把整流器的输出端接光源的两端的线先连接好(低压钠灯光源无正负极可任意连接)。把整流器的输入端连接两根足够长的线(要能区分正负极)。在最后接负载到控制器的接线时注意正负极不能接反。
分析如下,PWM信号经过电压跟随器加载到三极管基极,此电压对地使UBE大于管子的开启电压时,就会在其集电极产生电流。
当管子截止时,集电极电流为0,电压24V直接加载到三极管的集电极。而电流为0时,2.2K的并联电阻是没有电压降,也没有所谓的分压,你的老师理解是有错误的。而只有电流通过时,电阻才会产生压降,按最大16mA计算,此时并联电阻等效值为2.2/4=0.55K,在电阻上的最大功耗P=I^R=0.14W,根本算不上功率大,只可能是计算出的阻值不是电阻标称值,另与印刷板尺寸散热一些物理特性相关而采用四只电阻并联。
120欧电阻是电流负反馈电阻,起到稳定电流的作用,对温度及其它影响电流的参数进行的抑制,提高输出电流稳定性
1)太阳能电池串联与普通电池无异,因为都是直流电池按照-+-+-+-+这样首尾相接就可以了。如下图:
2)DC-DC转换器可以参考下面这个电路图,如果你想让它输出更大的电流,加大+5V供电电流并把n3线圈的线径增大,BA145、D1也需要换成大电流快恢复二极管,T2根据BC337的参数换成一个大电流的管子即可,C3电容也适当增大一点,视负载电流而定。下面是这个电路的
主要技术数据:
输入电压:5V
空载时输出电压:U1=12.0V U2=-15.6V
负载1.5W时输出电压:U1=11.5V U2=-13.8V
变压器数据:
n1绕组:98匝,0.16mm铜芯漆包线
n2绕组:18匝,0.16mm铜芯漆包线
n3绕组:44匝,0.25mm铜芯漆包线
如果修改电路完成,接入负载电压明显下降,或者电路难以起振,可以试着调整C2、R8的参数或把n2线圈匝数增加。
3)上面是成品,你可以参考。继续推荐的话就是产品了,呵呵
最后想说的是您讲到电池理想充电过程是三个状态:恒流、恒压、恒流,但是恒流也是有个值得,据我所知蓄电池充电大多是以恒压为主,您讲到的三个状态一般是指电瓶维护才用到,因为电瓶维护仪才会有这三种充电状态,可惜的是这种充电器普及率很低,因为造价较高,并且虚假产品很多!
蓄电池【恒流】阶段经过试验和计算最佳充电电流是30%Ah/3*1(比如2Ah的蓄电池最大充电电流不能大于2×0.3=0.6安培,0.2安培是恒流最佳值)
蓄电池【恒压】阶段最佳电压是14.5-15V
从上面可以看出,您以太阳能电池为电池充电本身电流就太小,如果非得用太阳能电池来做充电电源,能力允许的情况下最佳方案是建议您串联多个太阳能电池让电压达到15V左右,并且把多个串联的太阳能电池并联达到蓄电池要求的最佳充电电流。
很高兴为您提供帮助,谢谢!